您当前的位置:首页>电子信息>VHDL设计实例与仿真/可编程逻辑器件实用开发技术丛书

VHDL设计实例与仿真/可编程逻辑器件实用开发技术丛书

资料类别:电子信息

文档格式:PDF电子版

文件大小:58368 KB

资料语言:中文

更新时间:2021-02-18 15:55:33



推荐标签: 设计 仿真 vhdl 逻辑 实用 开发 实例 丛书 可编程 器件 设计实例 开发技术 器件 设计实例

内容简介

VHDL设计实例与仿真
作      者:姜雪松//吴钰淳//王鹰| :姜宇柏著 
出  版 社:  
出版日期:2007-02 

内容介绍
VHDL是一种非常适用于可编程逻辑器件设计的硬件语言,并且正在得到普及,VHDL作为IEEE标准所规范的硬件描述语言,随着各种EDA工具和集成电路厂商的普遍认同和推广,目前正在全球各国的电子系统设计领域获得广泛应用。  本书从实际应用的角度出发,全面系统地介绍了VHDL在各种领域中的设计应用,以满足不同领域读者快速学习VHDL的需要。书中列举了大量典型的VHDL程序,同时给出了设计的仿真波形,从而使读者能够验证相应的设计,并且能够掌握其中的设计技巧。相信通过本书,读者能够迅速掌握VHDL的设计技巧,从而独立完成相应的设计项目。 
 本书是广大电路设计工程师学习VHDL必不可少的参考书,同时也可作为高等院校相关专业师生的教材或教学参考用书。 

丛书序 
前言 
第1章 简单逻辑门电路的设计  
1.1 基本逻辑门电路 
1.1.1 与门电路 
1.1.2 或门电路 
1.1.3 非门电路 
1.2 组合逻辑门电路 
1.2.1 与非门电路 
1.2.2 或非门电路 
1.2.3 与或非门电路 
1.2.4 异或门电路 
1.2.5 同或门电路 
1.3 多输入逻辑门电路 
1.3.1 三输入与门电路 
1.3.2 两级与非门电路 
1.3.3 两级或非门电路 
1.4 三态门和总线缓冲器 
1.4.1 三态门电路 
1.4.2 单向总线缓冲器 
1.4.3 双向总线缓冲器 
第2章 常用组合逻辑电路的设计 
2.1 编码器 
2.1.1 普通编码器 
2.1.2 优先编码器 
2.2 译码器 
2.2.1 二进制译码器 
2.2.2 编码转换译码器 
2.2.3 数字显示译码器 
......

上一章:VHDL与可编程逻辑器件应用 下一章:VHDL硬件描述语言 [辛春艳 编著]

相关文章

VHDL与可编程逻辑器件应用 GB/T 37979-2019 可编程逻辑器件软件VHDL编程安全要求 可编程逻辑系统的VHDL设计技术 Xilinx可编程逻辑器件设计与开发:基础篇 Xilinx可编程逻辑器件的高级应用与设计技巧 可编程逻辑器件与EDA技术 可编程逻辑器件的开发与应用 数字逻辑与VHDL逻辑设计